SystemVerilog中未打包数组元素的单独绑定

时间:2018-11-16 19:27:33

标签: verilog system-verilog

在可综合的SystemC中,我可以分别绑定端口向量的每个元素:

index.js

是否可以在可综合的SystemVerilog中执行相同的操作?

/lib

1 个答案:

答案 0 :(得分:2)

您尝试过

.in_vec('{a, b, c})

或者您可以创建一个数组并为其分配单个值。然后将阵列信号绑定到子模块。