模拟LVDS软核IP

时间:2018-09-25 15:05:24

标签: vhdl modelsim intel-fpga

我正在尝试使用modelsim-altera在MAX10 FPGA上模拟LVDS软IP

在接收器上工作正常,但是在模拟发送器时,出现以下错误:

  

正在加载fiftyfivenm.fiftyfivenm_ddio_out(behavior)

     

#**错误:(vsim-3033)nofile(38):'dffeas'的实例化失败。找不到设计单元。

     

#时间:0 ps迭代:0实例:/ top / ttx / ddio_out / loop0(0)/ ddio_outa / inst文件:nofile

     

#搜索的库:

     

#C:/ Users / alphatec / Desktop / test_sim / lvds_tx2 / simulation / modelsim / rtl_work

感谢您的帮助

1 个答案:

答案 0 :(得分:0)

如果您正在使用Modelsim-Altera,请确保您使用的是库'altera'。 “ dffeas”在该库中。 在命令行中,它应该类似于下面的

vsim -L fiftyfivenm_ver -L altera $YOUR_OWN_TESTBENCH

在开始仿真之前,请确保从GUI中添加库。

Add libraries to Modelsim