UVM_FATAL @ 0:报告程序[NOCOMP]没有组件实例化消息问题

时间:2018-09-22 02:58:25

标签: uvm

我正在尝试在irun中实现uvm_phases.tar代码

我从http://www.testbench.in/UT_02_UVM_TESTBENCH.html那里获得了代码

在“ ius”中。

我在下面使用了Makefile

ius: ${FILES} ${IFILES}
    @if [ ! -e ${UVM_HOME}/src/uvm_pkg.sv ];then \
     echo "*******************************************************";\
     echo "Environemnt varible UVM_HOME is not set or points to incorrect path"; \
     echo "*******************************************************";\
     fi 
    irun ${UVM_FLAGS} +incdir+. ${FILES} +UVM_TESTNAME=test1 -access +rwc -input ex.tcl

而且ex.tcl是

database -open waves -shm
run
probe -create -database top -all -depth all
#uvm_phase -stop_at -end connect
run
exit

但是当我运行“ make ius”时,我得到了以下错误消息

"UVM_FATAL @ 0: reporter [NOCOMP] No components instantiated. You must either instantiate at least one component before calling run_test or use run_test to do so. To run a test using"

这是整个消息。

UVM_FATAL @ 0: reporter [NOCOMP] No components instantiated. You must either instantiate at least one component before calling run_test or use run_test to do so. To run a test using run_test, use +UVM_TESTNAME or supply the test name in the argument to run_test(). Exiting simulation.



--- UVM Report catcher Summary ---




Number of demoted UVM_FATAL reports  :    0
Number of demoted UVM_ERROR reports  :    0
Number of demoted UVM_WARNING reports:    0
Number of caught UVM_FATAL reports   :    0
Number of caught UVM_ERROR reports   :    0
Number of caught UVM_WARNING reports :    0

--- UVM Report Summary ---

** Report counts by severity
UVM_INFO :    0
UVM_WARNING :    0
UVM_ERROR :    0
UVM_FATAL :    1

** Report counts by id
[NOCOMP]     1

Simulation complete via $finish(1) at time 0 FS + 0

/N/x1999/work/uvm-1.1d/src/base/uvm_report_object.svh:292     $finish;

ncsim> probe -create -database top -all -depth all

ncsim: *E,DBNFND: top does not match any database name.

ncsim> #uvm_phase -stop_at -end connect

ncsim> run 

ncsim: *E,RNFNSH: Cannot continue simulation due to a previous $finish.

ncsim> exit

make: *** [ius] Error 1

我考虑过“ + UVM_TESTNAME = test1”,但似乎没有任何问题。

您能帮我解决该问题的方法吗? 我仔细检查了“ test1”类。

0 个答案:

没有答案