我正在尝试Microsoft的VSCode C / C ++ intellisense扩展。
当我实例化Foo类
`timescale 1ns/1ps
module test;
reg i_clock = 0;
reg r_Test_1 = 0;
reg r_Test_2 = 0;
reg r_Test_3 = 0;
initial begin
$dumpfile("dump.vcd");
$dumpvars;
#100 $finish;
end
always #5 i_clock <= ~i_clock;
always @(posedge i_clock)
begin
r_Test_1 <= 1'b1;
r_Test_2 <= r_Test_1;
r_Test_3 <= r_Test_2;
end
endmodule
然后输入
Foo foo;
它不包含foo类的公共成员。这是预期的缺点还是我的配置错误?
在这种情况下,它暗示的内容似乎是整个工作空间中的象征,而对于foo类没有特定的含义。
这是已知限制吗?是将来版本中的计划功能吗?
我的c_cpp_properties.json如下...
foo.