在VCenter Server中如何使用c#VM进行读取?

时间:2018-06-28 09:06:41

标签: c# virtual-machine esxi

我想开发一个程序来读取vCenter Server中的所有VM。但是我无法连接到vCenter Server ... 我已经尝试过了:

使用VMware.Vim;

entity e is end entity;
library ieee;
architecture a of e is
    use ieee.std_logic_1164.all;
    signal test : std_logic_vector(7 downto 0) := "10010110";
    signal output : std_logic_vector(2 downto 0);

    function slice(s: STD_LOGIC_VECTOR; u, l : natural) return STD_LOGIC_VECTOR is
        alias sv : STD_LOGIC_VECTOR (s'length-1 downto 0) is s;
        variable result : STD_LOGIC_VECTOR (u downto l);
    begin
        for i in result'range loop
            result(i) := sv(i);
        end loop;
        return result;
    end function;
begin
    output <= slice(test & '0', 5, 3); -- test becomes 'to' range.
    -- output still becomes "101"
end architecture;

但是我在那里丢失了一些东西,我不知道该如何整合。我只是一个难题,我希望有人能为您提供帮助,也许我应该提到服务器是Esxi6。谢谢您。

0 个答案:

没有答案