我有Xilinx Spartan6和下一代VHDL代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Switches_Leds is
Port (switch_0: in STD_LOGIC;
LED_0 : out STD_LOGIC);
end Switches_Leds;
architecture Behavioral of Switches_Leds is
begin
LED_0 <= switch_0;
end;
这是我的用户约束文件:
NET "switch_0" LOC = C3;
NET "LED_0" LOC = P4;
我的问题是:为什么led总是打开,但如果我点击按钮就会关闭?
我解释说:我编程我的fpga - 指示灯打开,按下开关按钮 - 指示灯熄灭,我按下按钮 - 指示灯继续亮起。