ROM硬件实现中的两个指针

时间:2018-04-30 09:24:38

标签: memory memory-management verilog hardware rom

ROM由case语句实现,用于在其中存储固定值,并在需要时读取它们。

但是如何在同一个时钟周期读取两个值?

1 个答案:

答案 0 :(得分:2)

至少显示部分代码总是很有用。我假设你有类似的东西:

<color name="seek_bar_background">#ababab</color>
<color name="seek_bar_progress">#ffb600</color>
<color name="seek_bar_secondary_progress">#3399CC</color>

唯一的解决方案是制作两个相同的case语句,但更容易实例两次相同的ROM。

替代方法是创建一个内存并初始化它。

case (adrs)
8'h00 : dout <= 8h01;
8'h01 : dout <= 8h03;
8'h02 : dout <= 8h07;

我认为这是针对FPGA的,请查看供应商手册如何制作预装RAM。 (只要你不写信,这实际上就是一个ROM)​​