SystemVerilog对象创建过程

时间:2018-03-28 02:08:36

标签: system-verilog

class parent;
int a = 10;
endclass

class child extends parent;
int b = 10;
endclass

module main;
  parent P;
  child C;

  P = new();
  C = new();

  initial begin
    $display("a=%d\n",C.a);
  end
endmodule

我尝试使用Synopsys VCS运行此代码。它在句柄声明后在对象创建时给出错误。错误如下:

  

错误 - [SE]语法错误以下verilog源有语法错误:   “class.sv”,20:token是'='P = new();

1 个答案:

答案 0 :(得分:1)

将构造函数放在initial块中。