由+ uvm_set_config_int设置的值不匹配

时间:2017-11-13 07:31:52

标签: uvm

我正在尝试使用命令行选项设置配置:

+uvm_set_config_int= \*,path_index,1

按顺序,在正文任务中我正在寻找值:

if (!uvm_config_db#(int)::get(null, "", "path_index",i)) begin
end

找不到值。

如果不是命令行选项,我在测试的构建阶段设置值:

uvm_config_db #(int)::set(null, "*", "path_index", 1)

按顺序找到值。

我检查了UVM配置查看器,看起来在两种情况下范围模式都是相同的

请告知

Grisha

1 个答案:

答案 0 :(得分:1)

尝试 uvm_bitstream_t 而不是int:

if (!uvm_config_db#(uvm_bitstream_t)::get(null, "", "path_index",i)) begin
end