什么是时间数据类型的`uvm_field_ *宏

时间:2017-09-07 04:47:46

标签: system-verilog uvm

假设我有time类型的变量。

class my_class extends uvm_object;
  time my_time;
  int  my_int;
  `uvm_object_utils_begin(my_class)
    `uvm_field_int(my_int, UVM_DEFAULT)
    // ? for time
  `uvm_object_utils_end
endclass

众所周知,我们可以将'uvm_field_int用于int数据类型。 time数据类型的正确宏是什么?

1 个答案:

答案 0 :(得分:1)

使用uvm_field_int因为就SystemVerilog而言,time只是longint的别名

更好的是,不要使用任何字段宏,因为它们是horribly inefficient