假设我有time
类型的变量。
class my_class extends uvm_object;
time my_time;
int my_int;
`uvm_object_utils_begin(my_class)
`uvm_field_int(my_int, UVM_DEFAULT)
// ? for time
`uvm_object_utils_end
endclass
众所周知,我们可以将'uvm_field_int
用于int
数据类型。
time
数据类型的正确宏是什么?
答案 0 :(得分:1)
使用uvm_field_int
因为就SystemVerilog而言,time
只是longint
的别名
更好的是,不要使用任何字段宏,因为它们是horribly inefficient。