VHDL记录没有完全约束

时间:2017-03-08 15:01:15

标签: vhdl

我有一个通过函数创建的接口记录,但是当我尝试编译时,我得到一个关于接口不受约束的错误。

记录:

type t_my_if is record
    wdata : std_logic_vector;
    wen   : std_logic;
end record;

功能声明:

function init_my_if_signals(data_width : natural) return t_my_if;

功能正文:

function init_my_if_signals(data_width : natural) return t_my_if is
    variable init_if : t_my_if(wdata(data_width - 1 downto 0));
begin
    init_if.wdata := (others => '0');
    init_if.wen   := '0';
    return init_if;
end function;

请注意,虽然其中一个记录参数是std_logic_vector,但在通过初始化函数创建时,它的大小会被定义。所以我不确定为什么会出现以下错误:

  

(vcom-1361)" my_if"的子类型没有完全限制。

接口位于实体的inout端口上,因此看起来像:

my_if   : inout t_my_if := init_my_if_signals(8)

修改

以下代码有效,但我希望能够动态定义数据宽度,因此这个解决方案对我来说不是最佳选择。

新记录:

type t_my_if is record
    wdata : std_logic_vector(7 downto 0;
    wen   : std_logic;
end record;

新功能:

function init_my_if_signals(data_width : natural) return t_my_if is
    variable init_if : t_my_if;
begin
--same as above

当记录被实例化时,我不能定义它吗?

2 个答案:

答案 0 :(得分:1)

所以根据Doulos VHDL 2008 small changes,这在VHDL-2008中是允许的。他们的例子:

type myRecordT is
record
  a : std_logic_vector;
  b : std_logic_vector;
end record;

variable R : myRecordT( a(7 downto 0), b(15 downto 0) );

您的VHDL-2008模式是否正在编译?

修改

my_if   : inout t_my_if := init_my_if_signals(8)

你有问题:t_my_if部分不受限制。尝试:

my_if   : inout t_my_if(wdata(7 downto 0)) := init_my_if_signals(8)

顺便说一下:inout ports很糟糕,m'kay? (除非你知道你在做什么)

答案 1 :(得分:0)

如何在包中声明所有常量和宽度,并在界面中使用它们之前定义具有正确宽度的所需类型。

package my_if_pkg is
    constant c_data_width : natural := 8;

    type t_my_if is record
        wdata : std_logic_Vector(c_data_width-1 downto 0);
        wen   : std_logic;
    end record;

    constant z_my_if : t_my_if := ( wdata => (others => '0'),
                                    wen   => '0' );
end package;
...

然后在界面中使用包:

use my_if_pkg.all;
...

my_if : inout t_my_if := z_my_if;