Docker - 通过编辑“docker”文件添加DNS

时间:2017-03-03 10:38:02

标签: windows docker configuration dns

我在Windows上使用Boot2Docker,我想编辑文件 /etc/init.d/docker 。编辑后,使用 wq 命令和重新启动命令进行保存,不会保存其状态。我做错了什么?我想要实现的是添加DNS配置,如附加屏幕( - bip - dns ):

enter image description here 这是进行此配置的正确位置吗?

1 个答案:

答案 0 :(得分:1)

考虑到Boot2Docker基于TinyCore distro,而/var/lib/boot2docker/仅保留/var/lib/boot2docker/profile

中的某些文件

通常,我会修改library ieee; use ieee.std_logic_1164.all; PACKAGE io IS type memory is array (natural range<>) of std_logic_vector(3 downto 0); function iswhitespace (inpstr: in string) return boolean; END; package body io is function iswhitespace (inpstr: in string) return boolean is constant NBSP: character := character'val(128); begin for i in inpstr'range loop if inpstr(i) /= ' ' and inpstr(i) /= NBSP and inpstr(i) /= HT then exit; elsif i = inpstr'RIGHT then return TRUE; end if; end loop; return FALSE; end function; end package body; library ieee; use ieee.std_logic_1164.all; use work.io.all; entity File_io is generic ( constant MEMORY_SIZE: natural := 42; constant filename: string := "C:\Users\ChowdaryS\Downloads\topo.bin" ); port ( clk: in std_logic; Data_memory: out memory (0 to MEMORY_SIZE - 1) ); end entity; architecture foo of File_io is signal mem: memory (0 to MEMORY_SIZE - 1); -- ADDED subtype indication use ieee.numeric_std.all; -- MISSING cntext item use std.textio.all; signal mem_inited: boolean := FALSE; -- ADDED begin process(clk) file f: text open read_mode is filename; variable L: line; variable i: integer:= 0; variable b: bit_vector(3 downto 0); begin if not mem_inited then i := 0; while not endfile(f) loop readline(f, L); while L.all'length >= b'length and not iswhitespace(L.all) loop read(L, b); mem(i) <= to_stdlogicvector(b); i := i + 1; end loop; end loop; report "mem values loaded = " & integer'image(i); mem_inited <= TRUE; end if; end process; Data_memory <= mem; end architecture foo; 以便:

  • 更改其他文件
  • 或导出(设置)其他环境变量

该脚本将在TinyCore会话中保留,并将在每次重启时执行。