将两个3位数字与2位输出进行比较

时间:2017-02-24 00:41:35

标签: logic circuit

我有两个3位数字A2A1A0和B2B1B0,我必须比较它们并根据A>生成2位输出。 B,A< B,A = B。

我可以用来实现这个的唯一电路是多路复用器。

我已经制作了真值表;但是,我不确定如何实现2位输出。我在考虑标记A> B为01,A< B为10,A = B为11.但我找不到将其成功放入多路复用器的方法,因为每个输入块永远不会有相同的输出。

任何帮助将不胜感激。

truth table

0 个答案:

没有答案