将std_logic_vector中的错误合成为整数转换[错误:HDLParsers:854]

时间:2016-11-28 09:50:45

标签: vhdl fpga xilinx xilinx-ise

count := to_integer( unsigned ( values(i)));

错误:     错误:HDLParsers:854 - 表达式无法转换为unsigned类型

前提条件:

  • 进口

    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.math_real.all;
    
  • 计数声明为

    variable count : integer range 0 to 255 := 0;
    
  • 声明为

    的值
    values: in std_logic_vector(7 downto 0);
    
  • Assignement operator< =测试未成功。

1 个答案:

答案 0 :(得分:0)

values(i)的类型为std_logic。您无法在std_logic中输入unsigned。这似乎是一件奇怪的事情,也许如果你能解释为什么要这样做,我可以提供另一种选择。