如何在SystemVerilog中创建随机动态2D数组?

时间:2016-11-21 16:00:52

标签: system-verilog

我知道如何在SystemVerilog中创建随机动态数组:

class packet;
    rand int unsigned len;
    rand byte data[];

    constraint size_con {
        len < 2000;
        data.size = len;
    }
endclass: packet

但我无法弄清楚如何使用随机2d动态数组?

class video_frame;
    rand int unsigned width;
    rand int unsigned height;
    rand int unsigned data[][];

    constraint size_con {
        width >= 8;
        width <= 4096;
        height >= 8;
        height >= 2048;
        // How to constraint data.size to be [height, width]
    }
endclass: video_frame;

1 个答案:

答案 0 :(得分:3)

您需要意识到SystemVerilog具有与多维数组不同的数组数组。这意味着您有一个动态数组,其中每个元素都是另一个动态数组。所以你需要约束每个元素的大小。

   constraint size_con {
        width  inside {[8:4096]};
        height inside {[8:2048]};
        data.size == width;
        foreach (data[ii]) data[ii].size == height;
    }