VHDL代码错误211 modelsim shifter

时间:2016-11-09 20:09:37

标签: vhdl

library ieee;
use ieee.std_logic_1164.all;
--use ieee.numeric_std.all;

entity shifter is
port(inputt:in std_logic_vector (7 downto 0);
      shiftextent:in integer ;
   outt :OUT STD_LOGIC_VECTOR(15 downto 0));
end shifter;


architecture shifter_art of shifter is

begin

g1: for b in 0 to 7 generate
  outt(b+shiftextent)<= inputt(b);
  end generate;
g2: for c in shiftextent to 15 generate
outt(c)<='0';
end generate;
g3: for d in 0 to shiftextent generate
outt(d)<='0';
end generate;

end shifter_art;

嘿伙计们,我试图将变速器作为算术乘数的一部分。 我正在使用modelsim进行编译和崩溃并给出错误211。 你能帮我弄清楚这段代码有什么问题吗? 提前谢谢。

0 个答案:

没有答案