Verilog的。多维数组初始化

时间:2016-10-27 14:23:04

标签: verilog system-verilog

我在仅限仿真的SystemVerilog模块中创建了localparam:

.collect(Collectors.toList());

我编译了这个模块,但是当我开始模拟时,错误是预期的:

  

RUNTIME:致命错误:RUNTIME_0123 tb_bus.sv(35):范围宽度   表达必须是积极的。

为什么会出现此错误?我使用Active -HDL 9.3。

2 个答案:

答案 0 :(得分:0)

您遇到了工具问题。您的代码很好,适用于许多其他工具。

答案 1 :(得分:0)

您能尝试一下吗?

localparam [31:0] SYNTH_PRD_REGS[5][13] = '{default:32'h0}