VHDL灵敏度列表

时间:2016-08-08 20:23:34

标签: vhdl

我需要完成以下问题的敏感列表,有人可以帮助我吗?

signal:A,B,C,X,Y,Z:bit
comb1:process (  ....  )
begin
X<=Z xor B;
Y<= X or B;
C<= A and B;
Z<=A;
B<= not Z;
end process;

我是否需要包含所有信号?

1 个答案:

答案 0 :(得分:1)

感谢VHDL-2008,这是微不足道的:

signal:A,B,C,X,Y,Z:bit
comb1:process (all)
begin
X<=Z xor B;
Y<= X or B;
C<= A and B;
Z<=A;
B<= not Z;
end process;

VHDL-2008关键字all自动包含敏感列表中进程中读取的所有信号,并且比显式列表更容易维护。