VHDL案例/时:多个案例,单个子句

时间:2010-09-14 08:28:56

标签: case vhdl

在流程中我有这样的事情:

CASE res IS
  WHEN "00" => Y <= A;
  WHEN "01" => Y <= A;
  WHEN "10" => Y <= B;
  WHEN "11" => Y <= C;
  WHEN OTHERS => Y <= 'X';
END CASE;

请注意,案例"00""01"会获得相同的值。是否有正确的语法

WHEN "00", "01" =>

额外注意:除了改变Y之外,还有更多内容,我只是为了简单起见而使用它。所以情况/何时是必要的。

2 个答案:

答案 0 :(得分:31)

您可以使用“竖线”或条形符号分隔多个选项。您的示例的正确语法是:

CASE res IS
  WHEN "00" | "01" => Y <= A;
  WHEN "10" => Y <= B;
  WHEN "11" => Y <= C;
  WHEN OTHERS => Y <= 'X';
END CASE;

答案 1 :(得分:4)

您还可以为案例提供一系列选择:

USE IEEE.STD_LOGIC_ARITH.ALL;

CASE CONV_INTEGER(res) IS
  WHEN 0 to 1 => Y <= A;
  WHEN 2 => Y <= B;
  WHEN 3 => Y <= C;
  WHEN OTHERS => Y <= 'X';
END CASE;