在7段

时间:2016-03-27 07:10:54

标签: verilog fpga xilinx hdl

我正在使用Digilent Basys 3板。它有4 x 7段显示。目前我的代码是

module segmentdisplay(input clk,
                      output segA, segB, segC, segD, segE, segF, segG, segDP,D1,D2,D3,D4
);


assign D1 = 1'b1; //to switch off the Right most 7 segment display

assign {segA, segB, segC, segD, segE, segF, segG, segDP} = 8'b00100100;   // light the leds to display '2'

endmodule
截至目前,我的所有三个7段都显示数字' 2'。

据我所知,所有4个7段都与相同的a,b,c,d,e,f,g

相关联

如何让他们展示' 1' ' 2' ' 3'同时?多谢你们。

1 个答案:

答案 0 :(得分:0)

7段显示与D1到D4的4输入一起工作,你是对的,所有4个显示使用相同的a到g。

诀窍是设置显示器,使得只有一个7段显示器亮起并显示任何时间点的数字。但是,代码切换到下一个显示以显示下一个数字的持续时间应该非常小。

它本质上意味着在D1到D4之间快速切换的速度,并且每个显示器显示不同的数字时,我们的眼睛被欺骗认为显示器实际上一次显示几个数字(或在你的情况3)。

由于您只想显示一个3位数字,因此代码只能在D2到D4之间交替显示,并显示相应的数字输入。