初始化向量中的值

时间:2016-03-06 04:37:57

标签: vector vhdl

我定义了std_logic_vector变量RT

variable RT: std_logic_vector (127 downto 0);

我想将值(127 downto 4)设置为全0。

我该怎么做?

2 个答案:

答案 0 :(得分:1)

有很多方法可以做到这一点。最直截了当的可能是

rt(127 downto 4) <= (others => '0');

答案 1 :(得分:1)

如果要为变量指定初始值,可以执行以下操作:

java.lang.IllegalArgumentException: Can't merge a non object mapping [body.message.sender] with an object mapping [body.message.sender]

将所有零分配给RT 如果RT的前几个位置的值不同于&#39; 0&#39;你可以去:

variable RT : std_logic_vector(127 downto 0) := (others => '0');

这将分配&#39; 1&#39;到前4个职位和&#39; 0&#39;其余的。