使用PHP计算百分比的麻烦

时间:2016-02-19 08:33:48

标签: php

我想使用PHP计算百分比。我尝试了下面给出的代码,但它给了我float中的返回值。我在[{1}}中不太了解所以请修改此代码。

当前输出

PHP

预期的输出

66.666666666667%
66.66%

2 个答案:

答案 0 :(得分:2)

使用LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY adder_sub32_TB_SHan_53967364 IS END adder_sub32_TB_SHan_53967364; ARCHITECTURE behavior OF adder_sub32_TB_SHan_53967364 IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT adder_sub32 IS GENERIC (BW : INTEGER :=32); PORT ( a_32 : IN STD_LOGIC_VECTOR (BW -1 downto 0); b_32 : IN STD_LOGIC_VECTOR (BW -1 downto 0); cin : IN STD_LOGIC ; sub : IN STD_LOGIC ; sum_32 : out STD_LOGIC_VECTOR (BW -1 downto 0); cout : INOUT STD_LOGIC ; ov : OUT STD_LOGIC ); -- ov stands for overflow END COMPONENT; signal a : std_logic_vector(5 downto 0); --:= (others => '0'); signal b : std_logic_vector(5 downto 0); --:= (others => '0'); signal cin : std_logic; signal sub : std_logic; signal cout : std_logic; signal sum_32 : std_logic_vector(5 downto 0); signal ov : std_logic; BEGIN test1: adder_sub32 GENERIC MAP (6) PORT MAP (a_32 => a,b_32 => b,cin => cin,sub => sub,sum_32 => sum_32,cout => cout,ov => ov); sub <= '0'; cin <= '0'; a <= "101010"; b <= "110101"; END; 指定小数和分隔符。

number_format()

答案 1 :(得分:1)

你可以这样做: echo round(66.666666666667,2); &GT;&GT; 66.66