在线教程视频中找到VHDL案例

时间:2016-01-29 01:24:20

标签: vhdl

我只是想练习一些VHDL编程,我在youtube上看到了一个教程视频。我看到一个有趣的挑战性问题,要求观众实施愚蠢的案例:

有人可以帮助我,因为我对VHDL很新,而且非常新手。

第一,第二和第三,是std_logic_vector(7 downto 0):

秒=第一/ 4 第三名=第一名第4名

1 个答案:

答案 0 :(得分:0)

如果我的问题正确,答案很简单:

*Write desired query to fetch fields.
*Go to report name -> right click -> add report group -> 
 specify group name and group by object(in group-criteria) -> next ->
 add group-header and footer if needed(in details) -> finish.
*Use this group where ever necessary(by using 'print when group' expression 
 as created group).

这些q <= 2x"0" & a(a'high downto 2); r <= 6x"00" & a(1 downto 0); 2x"0"语句仅适用于VHDL 2008标准。对于较旧的语言标准,您可以分别编写6x"00""00"