TestNG:为所有方法初始化数据提供程序一次

时间:2015-11-02 20:07:22

标签: java testng testng-dataprovider

目前我有一个测试类,其中有多个方法都使用相同的数据提供程序。每次testng循环遍历不同的方法时,它都会重新计算数据提供者方法。有什么方法可以让数据提供程序运行一次吗?

谢谢!

杰森

1 个答案:

答案 0 :(得分:1)

Factories正是您所寻找的。