Yosys无法打开包含文件

时间:2015-10-27 23:44:06

标签: verilog yosys

我收到yosys的Can't open include file错误。是否有一个命令行参数来定义包含目录和/或是否有一个默认目录,它正在寻找包含文件?

1 个答案:

答案 0 :(得分:4)

包含目录可以作为参数传递给read_verilog

read_verilog -Ipath/to/includes rtl/main.v
read_verilog -Ipath/to/includes rtl/stuff.v

或者,命令verilog_defaults可用于为read_verilog的所有后续调用设置选项。例如:

verilog_defaults -add -Ipath/to/includes
read_verilog rtl/main.v
read_verilog rtl/stuff.v

默认情况下,read_verilog正在当前工作目录和包含带`include语句的verilog文件的目录中查找包含文件。

编辑重新。评论:

我创建了以下示例:

$ cat a.v 
`include "b.v"
module test;
initial $display(`message);
endmodule

$ cat b.v 
`define message "Hello World!"

我可以使用yosys -p "read_verilog a.v"以及yosys a.v成功运行此功能。请编辑问题,使其包含处理包含文件失败的示例。