如何在systemverilog案例语句

时间:2015-09-15 15:10:05

标签: wildcard system-verilog case-statement

案例#1:

module try;
  string inp = "my_var";

  initial begin
    $display("Here we go!");
    case (inp) 
    "my_var" : $display("my_var");
    default : $display("default");
    endcase
  end
endmodule

输出为my_var

案例#2

module try;
  string inp = "my_var";

  initial begin
    $display("Here we go!");
    case (inp) 
    "*var*" : $display("*var*");
    default : $display("default");
    endcase
  end
endmodule

输出为default

是否可以在案例陈述中使用通配符搜索命中?

2 个答案:

答案 0 :(得分:1)

SystemVerilog没有内置于标准中的任何字符串正则表达式匹配方法。 UVM有一个包含uvm_re_match()函数的包。即使您不使用任何其他UVM测试平台功能,也可以导入UVM包以访问此功能。一些模拟器,例如ModelSim / Questa,将这些例程作为SystemVerilog的扩展内置,以便您可以这样做

module try;
  string inp = "my_var";

  initial begin
    $display("Here we go!");
    case (1) 
      inp.match("*var*") : $display("*var*");
      default            : $display("default");
    endcase
  end
endmodule

答案 1 :(得分:1)

我找到了解决办法:

function string match(string s1,s2); 
int l1,l2; 
l1 = s1.len(); 
l2 = s2.len(); 
match = 0 ; 
if( l2 > l1 ) 
return 0; 
for(int i = 0;i < l1 - l2 + 1; i ++) 
if( s1.substr(i,i+l2 -1) == s2) 
return s2; 
endfunction 

module try;
  string target_id = "abc.def.ddr4_0";
  string inp     = "ddr4_0";
  string processed_inp;

  initial begin
    $display("Here we go!");
    for(int i=0;i<2;i++) begin
      if (i == 1)begin
        inp     = "ddr4_1";
        target_id = "abc.def.ddr4_1";
      end
      processed_inp = match(target_id, inp);
      $display("input to case = %0s", processed_inp);
      case (processed_inp) 
      "ddr4_0"   : $display("ddr4_0 captured!");
      "ddr4_1"   : $display("ddr4_1 captured!");
      default : $display("default");
      endcase
    end
  end
endmodule

输出:

Here we go!
input to case = ddr4_0
ddr4_0 captured!
input to case = ddr4_1
ddr4_1 captured!

PS:在www上找到了这个解决方案。 现在找不到链接。很快就会发布参考文献。