我试图监控这个变量的状态:
shared variable Div16 : integer := 0;
但是我在ISim中收到了这个错误:
ISim尚不支持跟踪VHDL变量。
您可以将变量转换为testbench文件中的信号吗?或者有没有其他方法可以将此值显示为波形?
完整代码:
entity MAIN_UART is
generic (
DIVISOR: natural := 120 -- DIVISOR = 50,000,000 / (16 x BAUD_RATE)
-- 9600 -> 120
-- 19200 -> 60
);
port (
CLK: in std_logic; -- clock
RST: in std_logic -- reset
);
end MAIN_UART;
architecture Behavioral of MAIN_UART is
signal Top16: std_logic; -- 1 clk spike at 16x baud rate
shared variable Div16 : integer := 0;
-- constant COUNTER_BITS : natural := integer(ceil(log2(real(DIVISOR))));
begin
-- --------------------------
-- Clk16 Clock Generation
-- --------------------------
process (RST, CLK)
begin
if RST='1' then
Top16 <= '0'; --good
Div16 := 0;
elsif rising_edge(CLK) then
Top16 <= '0';
if Div16 = Divisor then
Div16 := 0;
Top16 <= '1'; --good
else
Div16 := Div16 + 1;
end if;
end if;
end process;
end Behavioral;
答案 0 :(得分:3)
您可以添加:
signal Div16_signal : integer := 0;
然后在您的流程结束时添加:
Div16_signal <= Div16;
答案 1 :(得分:0)
除了@ 0xMB的答案。
如果您希望iSim提供除默认值之外的其他基数,您需要声明div16
信号,例如SIGNED,并将变换添加到变量以指示分配。
architecture rtl of myEntity is
signal DBG_div16 : SIGNED(31 downto 0);
begin
process(clk)
variable div16 : integer := 0;
begin
-- some code
-- assign the variable to a signal, so iSim can display it's value
DBG_div16 <= signed(div16, DBG_div16'length);
end process;
end architecture;