有没有办法在osx上运行免费的systemverilog测试平台

时间:2015-06-04 22:44:02

标签: macos osx-yosemite system-verilog

我试图在OSX Yosemite(10.10.3)上为Systemverilog运行一些测试平台。我所知道的唯一可以使用的免费模拟器是Verilator,它无法处理测试平台,而Icarus显然处理的是#34;非常小的" SystemVerilog的。我可以在mac上使用它来运行SystemVerilog测试平台吗?

2 个答案:

答案 0 :(得分:0)

您可以使用Windows模拟器。

所有“免费”模拟器都不支持测试平台的约束随机生成,断言或功能覆盖。

答案 1 :(得分:0)

如果您愿意以网络为基础,EDA Playground可以使用Riviera-PRO的教育版本,它具有完整的SystemVerilog支持。

Altera toolchain提供的Modelsim的“免费”版本是有限的,但可以运行UVM,但有一些注意事项。来自旧EDA Playground docs

  

只要遵循以下编码样式调整,UVM就可以与ModelSim 10.1d一起使用:

     

创建uvm_sequence时,将以下内容放在构造函数中:do_not_randomize = 1'b1;

class my_sequence extends uvm_sequence #(my_transaction);

function new();
  // MUST BE SET when using ModelSim
  do_not_randomize = 1'b1;
endfunction
相关问题