我有一个alu模块alu_div_pipelined
,它实例化另一个模块(移植阶段)。此模块(实体+体系结构)在同一文件中声明。
entity declaration of div_pipelined
entity declaration of pipe stage
architecture of div_pipelined
architecture of pipe stage
是否可以在架构区域内声明私有模块?
管道阶段模块没有可见性要求。
示例:
entity alu_div_pipelined is
generic (...);
port (...);
end entity;
architecture rtl of alu_div_pipelined is
...
entity pipe_stage is
generic (...);
port (...);
end entity;
architecture rtl of pipe_stage is
...
end architecture;
begin
gen : for i in 0 to 7 generate
...
begin
stage : entity work.pipe_stage
generic map (...)
port map (...);
end generate;
end architecture;