使用对象但未声明?

时间:2015-05-29 08:39:29

标签: vhdl quartus

我有以下VHDL代码,它是项目的实体:

library ieee;
use ieee.std_logic_1164.all;
library work;
use work.typedef.all;

entity uc is
    port(faaaa: in std_logic_vector(15 downto 0);
          phi: in std_logic;
          isDirect,isRam,jmp,store,NarOut,arpOut:out std_logic);
    end entity uc;

architecture b8 of ua is
    signal   instt : std_logic_vector(15 downto 0);
    signal bit7: std_logic;
        begin
            bit7<='0';
            instt <= faaaa;
            ....
            process(phi) is
            ....
            end process;
end architecture b8;

错误说:

  

对象“faaaa”已使用但未声明

我在这里做错了什么?

1 个答案:

答案 0 :(得分:7)

您的实体名为uc,但架构b8属于ua