在部分重新配置中控制icap

时间:2015-05-20 06:58:16

标签: vhdl xilinx-ise microblaze xilinx-edk

我将在virtex5 Xilinx主板上实现部分重配置。我已经编写了3个模块(顶部模块和向上计数器和向下计数器)并通过Plan-ahead创建了比特流。结果由2个LED(向上或向下计数)显示。我的问题是如何交换柜台分区?或者如何通过时间或外部信号控制icap? 我更喜欢不使用Microblaze,因此请将状态机写入icap,如下所示:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;


library UNISIM;
use UNISIM.VComponents.all;
Library UNIMACRO;
use UNIMACRO.vcomponents.all;

entity Main is
    port(
            Clk: in std_logic;
            Output: out std_logic_vector(2 downto 0)
            );
end Main;

architecture Behavioral of Main is
    signal Q: std_logic_vector(47 downto 0);
    signal Load, Clk1hz, Clk1 : std_logic;
    signal Local : std_logic_vector(1 downto 0);
    component ROM  ------------------------------ ROM Component Decleration
    port (
            Clka: in std_logic;
            Addra: in std_logic_vector(11 downto 0);
            Douta: out std_logic_vector(31 downto 0));
    end component;  -------------------------------------------------------End
    signal ROM_Address, ROM_Address_Init : std_logic_vector(11 downto 0);  -------- ROM and ICAP Signals Decleration
    signal ROM_Data : std_logic_vector(31 downto 0);
    signal ICAP_Din, ICAP_Dout : std_logic_vector(31 downto 0);
    signal ICAP_CE, ICAP_Clk, ICAP_Clk1, En, ROM_Clk, ROM_Clk1, ICAP_WR : std_logic; --------------------------------------End
begin

    Clk1Hz <= Clk1;
    process(clk)
    begin
        if (rising_edge(Clk)) then
            if (Q=x"000005F5E100") then
                Load <= '1';
                Clk1 <= not Clk1;
            else
                Load <= '0';
            end if;
        end if;
    end process;
    Output(0) <= not(En);
    Output(2 downto 1) <= not(Local);
    ----------------------------------------------------
    ----------------------------------------------------
    U_UpDown: entity work.Counter
    port map(
            Clk => Clk1Hz, En => '1', Output => Local
            );
    ----------------------------------------------------
    ----------------------------------------------------
    U1 : COUNTER_LOAD_MACRO
   generic map (
      COUNT_BY => X"000000000001", -- Count by value
      DEVICE => "VIRTEX5",         -- Target Device: "VIRTEX5", "VIRTEX6", "SPARTAN6" 
      WIDTH_DATA => 48)            -- Counter output bus width, 1-48
   port map (
      Q => Q,                 -- Counter output, width determined by WIDTH_DATA generic 
      CLK => CLK,             -- 1-bit clock input
      CE => '1',               -- 1-bit clock enable input
      DIRECTION => '1', -- 1-bit up/down count direction input, high is count up
      LOAD => LOAD,           -- 1-bit active high load input
      LOAD_DATA => x"000000000000", -- Counter load data, width determined by WIDTH_DATA generic 
      RST => '0'              -- 1-bit active high synchronous reset
   );

    --------------------------------------------
    --------------------------------------------
    process(Clk1Hz)  -------------------------------------------- ROM and ICAP Modules and Related Codes
    variable Count : integer range 0 to 6:=0;
    begin
        if (rising_edge(Clk1Hz)) then
            Count := Count + 1;
            if (Count = 2) then
                En <= '1';
                ROM_Address_Init <= conv_std_logic_vector(0,12);
            else
                En <= '0';
            end if;
        end if;
    end process;
    --------------------------------------------
    --------------------------------------------
    U_ROM: ROM
    port map (Clka => ROM_Clk1, Addra => ROM_Address, Douta => ROM_Data);
    BUFG_inst : BUFG
   port map (
      O => ROM_Clk1,     -- Clock buffer output
      I => ROM_Clk      -- Clock buffer input
   );
    BUFG_inst1 : BUFG
   port map (
      O => ICAP_Clk1,     -- Clock buffer output
      I => ICAP_Clk      -- Clock buffer input
   );

    ICAP_VIRTEX5_inst : ICAP_VIRTEX5
   generic map (
      ICAP_WIDTH => "X32") -- "X8", "X16" or "X32" 
   port map (
      BUSY => open,   -- Busy output
      O => ICAP_Dout,         -- 32-bit data output
      CE => ICAP_CE,       -- Clock enable input
      CLK => ICAP_Clk1,     -- Clock input
      I => ICAP_Din,         -- 32-bit data input
      WRITE => ICAP_WR  -- Write input
   );
--  ICAP_Din(31 downto 8) <= x"000000";  -------------------------------End
    U_ICAP_SM: block  -------------------------------------
        type State_Type is (State0, State00, State1, State2, State3, State4, State5, State6);
        signal Pr_State, Nx_State : State_Type;
    begin
        Process(En,Clk)
        begin
            if (En = '0') then
                Pr_State <= State0;
            elsif (rising_edge(Clk)) then
                Pr_State <= Nx_State;
            end if;
        end process;
        process(Pr_State)
        begin
            case Pr_State is --*****
            when State0 =>
                Nx_State <= State00;
                ROM_Address <= x"000";
                ICAP_WR <= '1';
                ROM_Clk <= '0';
                ICAP_Clk <= '0';
                ICAP_CE <= '1';
            when State00 =>
                Nx_State <= State1;
                ICAP_WR <= '0';
            when State1 =>
                Nx_State <= State2;
                ICAP_CE <= '0';
                ROM_Clk <= '1';
            when State2 =>
                Nx_State <= State3;
                ICAP_Din <= ROM_Data;
                ROM_Clk <= '0';
            when State3 =>
                Nx_State <= State4;
                ICAP_Clk <= '1';
            when State4 =>
                Nx_State <= State5;
                ICAP_Clk <= '0';
            when State5 =>
                if (ROM_Address = conv_std_logic_vector(3134,12)) then
                    Nx_State <= State6;
                    ICAP_CE <= '1';
                    ROM_Address <= X"000";
                else
                    Nx_State <= State1;
                    ROM_Address <= (ROM_Address + 1);
                end if;
            when State6 =>
                ICAP_WR <= '1';
            end case;
        end process;
    end Block U_ICAP_SM;  -----------------------------------
end Behavioral;

我将其中一个计数器(例如向上计数器)的位流(.coe文件)保存在ROM中。默认情况下,电路是向下计数,但是当我通过icap交换位流时(从ROM中加载up-counter的.coe文件)没有任何反应,电路正在倒计时。 (代码中的*****) 我该如何解决?

0 个答案:

没有答案