functional_coverage没有显示正确的结果

时间:2015-03-11 23:49:27

标签: system-verilog uvm function-coverage

我开发了一个简单的uvm测试平台来验证一个简单的加法器。我也使用功能覆盖来监控覆盖范围。加法器为8位,输入为ab,输出为c,为9位。

我为rand logica开发了8位b的交易。 顺便说一句,我已经使用repeat(100)运行它,它将随机化并将ab驱动到DUT。对于这种情况,功能覆盖的最佳情况是(100/256)* 100%,即大约40%,假设不会重复任何值。我在记分板中对覆盖范围进行抽样,并在环境中获得覆盖率结果。

以下是我的代码段

// monitor class
  covergroup cg;
    a : coverpoint sb_item.a;
    b : coverpoint sb_item.b;
  endgroup
  ...
  function void write(input input_seq_item i);
    sb_item = i;
    if(sb_item.c == sb_item.a + sb_item.b)
      begin
        `uvm_info("SB","OK!",UVM_LOW)
        cg.sample();
      end
      else
        `uvm_error("SB",$sformatf("ERROR! %b + %b = %b", sb_item.a, sb_item.b, sb_item.c), UVM_LOW)
  endfunction

  // env class
  ...
  task run_phase(uvm_phase phase);
    sb.cg.stop();
    phase.raise_objection(this);
    sb.cg.start();
    seq.start(sqr);
    phase.drop_objection(this);
    sb.cg.stop();
    `uvm_info("env",$sformatf("The coverage collected is %f",sb.cg.a.get_coverage()),UVM_LOW);
  endtask
  ...

当我运行代码时,我得到大约81的覆盖率。结果如下所示

# KERNEL: UVM_INFO /home/runner/monitor.sv(56) @ 996: uvm_test_top.env.sb [SB] OK!
# KERNEL: UVM_INFO /home/runner/env.sv(34) @ 996: uvm_test_top.env [env] The coverage collected is 85.937500
# KERNEL: UVM_INFO /home/build/vlib1/vlib/uvm-1.2/src/base/uvm_objection.svh(1271) @ 996: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
# KERNEL: UVM_INFO /home/build/vlib1/vlib/uvm-1.2/src/base/uvm_report_server.svh(855) @ 996: reporter [UVM/REPORT/SERVER] 
# KERNEL: --- UVM Report Summary ---
# KERNEL: 
# KERNEL: ** Report counts by severity
# KERNEL: UVM_INFO :  204
# KERNEL: UVM_WARNING :    0
# KERNEL: UVM_ERROR :    0
# KERNEL: UVM_FATAL :    0
# KERNEL: ** Report counts by id
# KERNEL: [Driver]   100
# KERNEL: [RNTST]     1
# KERNEL: [SB]   100
# KERNEL: [TEST_DONE]     1
# KERNEL: [UVM/RELNOTES]     1
# KERNEL: [env]     1
# KERNEL: 
# RUNTIME: Info: RUNTIME_0068 uvm_root.svh (521): $finish called.
# KERNEL: Time: 996 ns,  Iteration: 61,  Instance: /top,  Process: @INITIAL#14_0@.
# KERNEL: stopped at time: 996 ns
# VSIM: Simulation has finished. There are no more test vectors to simulate.
exit
# FCOVER: Covergroup Coverage data has been saved to "fcover.acdb" database.
# VSIM: Simulation has finished.

任何人都可以解释我在这里做的错误吗?所有运行的覆盖范围是否累积?

1 个答案:

答案 0 :(得分:1)

覆盖范围是否在所有运行中累积取决于您正在分析的内容。不过,我猜你只是在分析一个模拟。您的计算是正确的,每次测试可获得的最大覆盖率约为40%(每个覆盖点基本上为40%,平均值一起),但这种情况极不可能达到。

除了百分比之外,您还需要了解的是实际创建的垃圾箱。我不认为您为ab的每个值获取了一个bin,但是其中一些可能会聚集在一起(即a { {1}}将是一个bin,依此类推,留下256/4个bin而不是256个。每个封面点都有一个名为[ 0..3 ]的选项,其默认值为64.如果将其设置为256,或者为auto_bin_maxa可能采用的每个值明确声明(范围)bin,您将获得您期望的覆盖率。

作为旁注,您通常不会为数据项的每个值创建一个coverage bin,因为这并不是真的有意义。在典型的设备中,数据项可能具有很多值,您无法对其进行全部验证。你会做什么,然而是宣布更多的"有趣"的情况。在您的情况下,有趣的值是b0以及介于两者之间的任何内容。特别有趣的是跨越8'hffa并检查组合,尤其是ba都是b的情况(如那就是你的结果会在8位上溢出并输出一个进位。