将数组传递给命名端口连接

时间:2015-02-05 17:17:40

标签: verilog

如果我将一些参数发送到verilog中的任何模块,如:

SUM( .a(a), .b(b), .out(out));

它会正常工作。如果我想将数组作为输入传递或想要将数组作为输出,该怎么办?例如:

integer  a=10;
  integer  b=20;
  integer  c[2:0]={2,4,6};
  integer  d=0;

any module(.input1(a), .input2(b), .input3(c),.....)

但它会给出“无法直接访问内存c”的错误。

那么,如何在命名端口连接中发送或接收数组?

1 个答案:

答案 0 :(得分:0)

输入可以是SystemVerilog 2009的数组.Verilog 1995,2001和2005不支持数组端口。

module dut(
  input [7:0] a [3:0]
);

endmodule