我希望在我的代码中看到内存访问的功耗。我的代码被合成到ISE(xilinx综合工具)中的RAM128 * 1。我正在使用Spartan3(3s400),我刚刚完成了ucf文件并在时序约束选项卡中添加了一个时钟周期。
entity SRAM is
port(
clk : in std_logic;
wr : in std_logic;
din : in std_logic;
dout : out std_logic;
addr : in integer range 0 to 127
);
end SRAM;
architecture Behavioral of SRAM is
type matrix is array (0 to 127) of std_logic;
signal mem : matrix;
begin
process(clk)
begin
if clk = '1' and clk'event then
if wr = '1' then
mem(addr) <= din;
end if;
end if;
end process;
dout <= mem(addr);
end Behavioral;
-
-
我的问题是为什么(逻辑)功率为零? 如何查看与内存大小相关的内存访问权限?