verilog中的指数

时间:2014-11-25 08:35:44

标签: verilog xilinx

我试图编写一个用于遗传算法的适应度函数,这个函数包含指数部分。 那么如何实现这个函数(e ^ x),其中e:base = 2.7,x:指数在verilog HDL语言中作为综合代码?

提前致谢!

1 个答案:

答案 0 :(得分:3)

在Verilog中**是指数函数,即e**x

如果指数是整数,您可以轻松创建时间共享版本,只需将基数乘以x倍,需要x-1个时钟周期。