将8位二进制转换为BCD值

时间:2014-11-19 14:26:06

标签: binary vhdl bcd

我花了无数个小时在这上面,我终于决定我真的需要一些帮助。所以我就是这样。

基本上我正在做的是从ADC获取8位输入,然后在七段板上将此值转换为BCD到dsiplay。到目前为止,这是我的代码:

Library IEEE ;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use IEEE.std_logic_unsigned.all;

entity Voltage_LUT is
  Port ( scaled_value : in  unsigned(7 downto 0);
         true_value : out  STD_LOGIC_VECTOR (15 downto 0)
  );
end Voltage_LUT;

architecture Behavioral of Voltage_LUT is

  function  divide  (a : UNSIGNED; b : UNSIGNED) return unsigned is
    variable a1 : unsigned(a'length-1 downto 0):=a;
    variable b1 : unsigned(b'length-1 downto 0):=b;
    variable p1 : unsigned(b'length downto 0):= (others => '0');
    variable i : integer:=0;
  begin
    for i in 0 to b'length-1 loop
      p1(b'length-1 downto 1) := p1(b'length-2 downto 0);
      p1(0) := a1(a'length-1);
      a1(a'length-1 downto 1) := a1(a'length-2 downto 0);
      p1 := p1-b1;
      if(p1(b'length-1) ='1') then
        a1(0) :='0';
        p1 := p1+b1;
      else
        a1(0) :='1';
      end if;
    end loop;
    return a1;
  end divide;

  signal  adj: unsigned(7 downto -2);    --adjusted to max 90
  signal  max_value: unsigned(7 downto 0):= "11111111" ;    --adjusted to max 90
  signal  MSB_int: integer;   -- integer form of MSB
  signal  LSB_int: integer;    --integer form of LSB
  signal  adj2: unsigned(15 downto 0);    --converted from adjusted integer to binary
  signal  LSB: STD_LOGIC_VECTOR (3 downto 0);    --BCD for LSB
  signal  MSB: STD_LOGIC_VECTOR (3 downto 0);    --BCD for MSB
  signal  OFF: STD_LOGIC_VECTOR (3 downto 0):="1010";    --defined to be segment OFF
  signal  V: STD_LOGIC_VECTOR (3 downto 0):="1011";    --defined to be letter V

begin

  adj <= divide ( scaled_value , max_value );
  adj2 <= adj* "00001001" ;

end Behavioral;    

基本上我正在做的是从ADC获取一个8位值,然后将其表示为最大值的一部分(即9)然后我必须将其转换为BCD ...但是当我运行这个时代码我收到一个错误说明:

  

第38行:指数值&lt; -2&gt;超出数组的范围[0:2147483647]

我需要将除法的答案变为二进制数(带小数,例如11001.110),这样当我乘以9时它就是准确的...

1 个答案:

答案 0 :(得分:1)

违规行是:

signal  adj: unsigned(7 downto -2);

unsigned类型不支持负范围。如果您想将结果视为具有小数组件的定点值,您可以选择几种方式,包括:

  • 如果您拥有符合VHDL-2008标准的工具,则可以使用ieee.fixed_pkg,其中包含类型ufixed,其中支持支持负指数与unsigned

  • 有关
  • 如果您拥有符合VHDL-2008标准的工具,您可以随时虚拟地管理二进制点,即声明:

    signal  adj: unsigned(9 downto 0);
    

    不幸的是,使用这种方法,您需要手动进行簿记。由于您似乎只是在进行乘法和除法,因此您不必担心对齐二进制点。值是否“准确”取决于您在后续逻辑中如何使用它。

相关问题