当尝试在EDA Playground中显示时钟波形时,我收到错误“执行中断或达到最大运行时间”。如何显示波形?
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
end
always
begin
#1 clk<=~clk;
end
endmodule
答案 0 :(得分:2)
没有$finish
因此sim无限期地运行并被服务器杀死。添加#100 $完成;你的主要测试程序会在EDA Playground给你50个时钟示例。
module test;
reg clk;
initial
begin
$dumpfile("dump.vcd");
$dumpvars(1);
clk=0;
#100 $finish; //<-- End simulation
end
always
begin
#1 clk<=~clk;
end
endmodule