我使用下面显示的命令在Questasim中打印事务类的内容。
`uvm_info("VALUES", tx.sprint(), UVM_LOW);
我的交易内容为 a,b,ans 。所有内容均为位。 但问题是它将它打印为HEX而不是DECIMAL。 它显示为
ans integral 8 'h1c
如何将其显示为
ans integral 8 'd28
答案 0 :(得分:5)
注册uvm类时需要启用UVM_DEC标志:
`uvm_object_utils_begin(your_class)
`uvm_field_int(ans, UVM_ALL_ON | UVM_DEC)
`uvm_object_utils_end
答案 1 :(得分:0)
由于uvm_field_macros的效率低下,最好覆盖convert2string()方法,并根据需要指定格式。