如何摆脱uvm-1.2中的tr_db.log?

时间:2014-09-25 08:12:48

标签: system-verilog uvm

默认情况下,UVM-1.2会生成一个文件" tr_db.log"。

同时运行长时间模拟非常不方便 生成此文件。

如何禁用它?

3 个答案:

答案 0 :(得分:1)

默认情况下,UVM 1.2将所有事务记录到文本文件(默认名称:tr_db.log)。目的是供应商实现将提供一种不同的事务记录机制,该机制与其数据库集成并允许事务显示在波形查看器等上。

交易记录的API由uvm_tr_database中的distrib/src/base/uvm_tr_database.svh类定义。

创建一个名为uvm_null_tr_database的派生类,它什么都不做就会相对简单。

答案 1 :(得分:0)

我强烈建议您继续使用UVM 1.1d。 UVM 1.2仍处于审核过程中,供人们提供反馈,作为IEEE的标准手。 UVM 1.2的一个问题是它不是100%向后兼容UVM 1.1d,如果你使用UVM 1.1d验证IP,它可能无法修改。

如果您使用的是工具附带的UVM的预编译版本,则不应该获取此文件。不要自己编译UVM。

答案 2 :(得分:0)

这是UVM12中的一个简单错误,用于打开事务数据库而不遵守潜在的recording_detail设置。如果录制已关闭则不应创建数据库(适用于文本或供应商数据库)