VHDL Std 1076可能存在矛盾吗?

时间:2014-09-17 00:58:10

标签: vhdl bit synthesis

IEEE 1076-2008 VHDL标准的以下两个引用部分似乎相互矛盾或至少令人困惑:

5.2.2.2标量类型,枚举类型,预定义枚举类型,注2:

  

BOOLEAN类型可用于模拟高电平有效或低电平有效   逻辑取决于选择的特定转换函数和   来自BIT类型。

16.8.2.4.2标准综合包,标准逻辑类型的解释,逻辑值的解释,强迫和弱值的解释(' 0',' 1', ' L',' H',FALSE,TRUE),第1和第2段:

  

综合工具应将以下值解释为表示逻辑值0:
   - BIT值' 0'
   - BOOLEAN值为FALSE
   - STD_ULOGIC值' 0'和' L'

     

它应将以下值解释为表示逻辑值1:
   - BIT值' 1'
   - BOOLEAN值为TRUE
   - STD_ULOGIC值' 1'和' H'

如果要求综合工具将 false 解释为逻辑0而将 true 解释为逻辑1,那么"特定转换函数的作用是什么?选择进出BIT"?

1 个答案:

答案 0 :(得分:0)

没有矛盾:VHDL中的类型很难。没有函数,不可能从一种类型转换为另一种类型(也具有相同的含义)。演员表仅对子类型是自动的,而BOOLEAN / BIT / STD_LOGIC都是不同的类型。