精确的YUV 10位到8位转换

时间:2014-09-12 09:05:07

标签: colors yuv sdi

我有来自相机的YUV422 10位SDI,我需要准确地将其转换为8位。 我可以向右移2位,还是不好?

有很多信息:http://en.wikipedia.org/wiki/YUVhttps://ru.wikipedia.org/wiki/YCbCr 但是没有完全清楚。

1 个答案:

答案 0 :(得分:3)

对于8位:Y范围是[16..235],U / V范围是[16..240]

对于10位:Y范围是[64..940],U / V范围是[64..960]

因此,将每个分量值2位向右移位将提供黑点和白点的正确转换:64 ==> 16,940 ==> 235和960 ==> 240。中间值也将正确转换。