我有一个SV属性如下:
propert my_property;
@(posedge clk) disable iff(reset) (!s_of) throughout ($rose(halt) ##0 ((rx_prio) > (expec_prio)) ##[0:$] $rose(rdy)) |-> ##[1:100] (my_prio[rx_prio]==1'b1);
endproperty:my_property
我的断言如下:
MY_PROPERTY_CHECK:assert property (my_property)
else
$error;
在上面的属性中,我有信号 s_of ,在整个检查过程中不应该断言。但是这里的情况是,这个信号 s_of 在蕴涵算子的结果期间被断言。因此,我的要求是禁用检查,即使在后续语句中声明了 s_of 信号。有没有办法实现这个目标?
感谢。
答案 0 :(得分:0)
您是否尝试在disable iff(...)
中添加?像disable iff(reset || s_of)
这样的东西。这将在s_of
变为高电平时禁用断言,无论先行词或结果当前是否正在“执行”。