用于随机序列生成的ffs实现lfsr

时间:2014-05-20 10:06:53

标签: vhdl fpga

我正在使用lfsr进行随机序列生成。我想在fpga board上显示输出序列。所以我应该使用.ucf文件将我的I / O映射到板上的实际引脚。是足以显示我的o / p在船上还是我必须做的更多,比如使用示波器来显示o / p序列。

0 个答案:

没有答案