While循环中的多个条件 - IDL

时间:2013-09-06 19:59:17

标签: loops syntax while-loop syntax-error idl-programming-language

我正在尝试在IDL中循环创建多个条件但没有成功。例如:

while (delta_flux gt 1.0) or (lt -1.0) do begin
;something here...
endwhile

返回:

IDL> .run flare_finder_while_attempt5.pro

      while (delta_flux gt 1.0) or (lt -1.0) do begin
                                    ^
% Syntax error.

有没有办法做到这一点?

1 个答案:

答案 0 :(得分:0)

尝试:

while (delta_flux gt 1.0) or (delta_flux lt -1.0) do begin