使用perl获取唯一值

时间:2013-07-26 20:22:20

标签: count uniq

我有一个像这样的数据框

val gene
1 Bra011877
1 Bra011878
1 Bra011767
1 Bra011766
1 Bra011765
2 Bra011743
1 Bra011766
1 Bra011742
1 Bra011669
1 Bra011670
1 Bra011767

我想要的是在没有排序表的情况下获得每个相应基因的唯一值的数量。

val gene
1 Bra011877
1 Bra011878
2 Bra011767
1 Bra011766
1 Bra011765
2 Bra011743
1 Bra011766
1 Bra011742
1 Bra011669
1 Bra011670

有人可以帮忙吗?

由于 众议员

0 个答案:

没有答案