使用接收参数在SystemC中设置向量长度

时间:2013-07-17 16:12:46

标签: systemc

我在SystemC中制作一个xor门,来自4个NAND门的绑定。我希望模块接收N位向量,其中N作为参数传递。我应该能够执行& 按位操作(对于NAND门)。

最佳解决方案可能是使用sc_bv_base类型,但我不知道如何在构造函数中初始化它。

如何使用自定义长度创建位向量?

1 个答案:

答案 0 :(得分:2)

参数化模块的一种方法是为模块创建一个新的C ++模板。

在此示例中,输入向量的宽度可以设置为此模块实例化的级别

#ifndef MY_XOR_H_
#define MY_XOR_H_

#include <systemc.h>       

template<int depth>
struct my_xor: sc_module {
    sc_in<bool > clk;
    sc_in<sc_uint<depth> > din;
    sc_out<bool > dout;

    void p1() {                                                           
        dout.write(xor_reduce(din.read()));
    }

    SC_CTOR(my_xor) {
        SC_METHOD(p1);
        sensitive << clk.pos();
    }

};
#endif /* MY_XOR_H_ */

请注意,struct my_xor: sc_module用于i.s.o. SC_MODULE宏。 (参见第40页,5.2.5 IEEE Std 1666-2011SC_MODULE)。

您可以使用以下测试平台对此进行测试:

//------------------------------------------------------------------
// Simple Testbench for xor file
//------------------------------------------------------------------

#include <systemc.h>
#include "my_xor.h"

int sc_main(int argc, char* argv[]) {

    const int WIDTH = 8;

    sc_signal<sc_uint<WIDTH> > din;
    sc_signal<bool> dout;

    sc_clock clk("clk", 10, SC_NS, 0.5);   // Create a clock signal

    my_xor<WIDTH> DUT("my_xor");           // Instantiate Device Under Test

    DUT.din(din);                          // Connect ports
    DUT.dout(dout);
    DUT.clk(clk);

    sc_trace_file *fp;                  // Create VCD file
    fp = sc_create_vcd_trace_file("wave");     // open(fp), create wave.vcd file
    fp->set_time_unit(100, SC_PS);      // set tracing resolution to ns
    sc_trace(fp, clk, "clk");             // Add signals to trace file
    sc_trace(fp, din, "din");
    sc_trace(fp, dout, "dout");

    sc_start(31, SC_NS);                // Run simulation
    din = 0x00;
    sc_start(31, SC_NS);                // Run simulation
    din = 0x01;
    sc_start(31, SC_NS);                // Run simulation
    din = 0xFF;
    sc_start(31, SC_NS);                // Run simulation

    sc_close_vcd_trace_file(fp);        // close(fp)

    return 0;
}

请注意,我使用的是struct,而不是class。 <{1}}也是可能的。

class

此代码中的XOR只是class my_xor: public sc_module{ public: 。您可以在IEEE Std 1666-2011页面197(7.2.8减少运算符)中找到更多相关信息。但我认为这不是你想要的解决方案。