从命令行使用Quartus

时间:2013-07-03 09:45:24

标签: verilog fpga intel-fpga

我试图从命令行在Linux(Kubuntu 12.04 LTS)上使用Quartus II 13.0(免费Web软件包)从Verilog RTL生成Verilog技术网表。我需要这个项目,我可以比较不同工具为数千个生成的Verilog文件生成的综合结果。所以使用GUI并不是一个真正的选择..

例如,使用Xilinx Vivado,我可以使用以下TCL命令执行此操作:

read_verilog input.v
synth_design -part xc7k70t -top top_module
write_verilog output.v

我使用的大多数ASIC工具都提供类似的接口。

使用Xilinx XST它有点复杂:

1。)生成work.xst文件:

run -ifn work.prj ..some..more..options..

2。)生成work.prj文件:

verilog work "input.v"

3。)运行综合:

xst -ifn work.xst

4。)从verilog binary生成verilog网表:

netgen -w -ofmt verilog work.ngc output

但是使用Quartus II,我找不到任何关于如何使用命令行工具的文档。我能找到的只是图形IDE界面的文档。也许我只是在寻找错误的地方?

所以在我开始使用strace和类似工具开始使用Quartus II IDE之前:是否有人从命令行使用Quartus II并且可以指向正确的方向?

4 个答案:

答案 0 :(得分:4)

它非常隐蔽 - Quartus-II手册第13.0版,第2-10页(或搜索ASSIGNMENT_FILES) - 生成一个示例Makefile。它似乎有点腐烂 - 我删除了ASSIGNMENT_FILES的东西,邮票是多余的(你可以检查日志文件),但它是一个开始,基本结构有效。

答案 1 :(得分:3)

例如,以下shell脚本从设计文件binary_ops_00000000合成模块binary_ops_00000000.v

PATH=$PATH:/opt/altera/13.0/quartus/bin
quartus_map binary_ops_00000000 --source=binary_ops_00000000.v --family="Cyclone III" 
quartus_fit binary_ops_00000000
quartus_eda binary_ops_00000000 --formal_verification --tool=conformal
cp -v fv/conformal/binary_ops_00000000.vo output.v

quartus_eda支持各种差异模式(有关表格,请参阅quartus_eda --help=tool)。为Conformal LEC生成的Verilog看起来很好用。

我建议在其他空目录中运行此命令,因为它们会生成一堆文件,并且在某些情况下会打印错误消息,如果找到以前运行的文件(使用不同的设置)。

答案 2 :(得分:2)

您可以在altera.com(http://www.altera.com/support/examples/tcl/tcl.html

上找到有用的Quartus II Tcl示例页面

答案 3 :(得分:2)

您还可以使用以下内容获取有关所有命令行参数和Tcl函数的帮助

quartus_sh --qhelp