如何从std_logic_vector中检查偶数和奇数的像素

时间:2012-12-06 17:10:56

标签: vhdl

我想知道“我有2个值std_logic_vector,我想检查偶数和奇数的最后一位,我希望它们都能计算出像素值的确切位置。这会不会可以通过案例陈述“。

X_cont : std_logic_vector(15 downto 0)
Y_cont : std_logic_vector(15 downto 0)

我想只检查LSB。

1 个答案:

答案 0 :(得分:1)

使用大小写检查两个LSB是:

  process(x_cont, y_cont)
    type res_t is (both_low, one_low, none_low);
    variable result: res_t;
    variable lsbs: std_logic_vector(1 downto 0);
  begin
    lsbs:=x_cont(0) & y_cont(0);
    case (lsbs) is
    when "00" =>
        result:=both_low;
    when "01" | "10" =>
        result:=one_low;
    when others =>      
        result:=none_low;
    end case;

end process;