如何在Python中将REAL32值写入文件?

时间:2012-10-09 16:17:50

标签: python ctypes

在想要创建STL file时,我需要以二进制IEEE浮点格式将文件写入REAL32值。我如何在Python中执行此操作?

1 个答案:

答案 0 :(得分:2)

您需要struct

with open(youroutput,'wb') as fout:
    fout.write(struct.pack('<f',300.0))

此处,<表示小端,f表示浮点(32位,IEEE)。


如果你想在1中写多个值:

values = (300.0, 200.0, 100.0)
fout.write(struct.pack('<3f',*values))