从php邮件发送电子邮件的问题

时间:2015-05-28 05:01:52

标签: php email

我已经创建了一个简单的PHP代码来发送电子邮件。

以下是代码:

的index.html:

entity ButtonDebouncer is
    Port (
        clock : in std_logic;
        buttons : in std_logic_vector(0 to 5);
        --{ more stuff }
    );
end ButtonDebouncer;

architecture Behavioral of ButtonDebouncer is
    signal bufferedButtons : std_logic_vector(0 to 5) := (others => '0');
begin
    debouncers: for i in 0 to 5 generate
        c1: entity debounce port map (Clock, buttons(i), bufferedButtons(i));
    end generate;
    --{ Do stuff with debounced buttons }
end Behavioral;

我可以知道,我错过了什么,我该如何解决这个错误。

感谢。,

1 个答案:

答案 0 :(得分:0)

请检查lib / PHPMailer中的文件class.smtp.php /它是否存在或检查phpmailer.class.php中的路径,并在您的代码中我认为SMTP详细信息未验证请仔细检查或者删除smtp身份验证$mail->SMTPAuth = false; https://github.com/PHPMailer/PHPMailer